EN
GloryEX

芯片级 RC 寄生参数提取工具

产品概述
GloryEX 是一款芯片级 RC 寄生参数提取工具,可为数字芯片、模拟芯片、SoC 等多种设计应用场景提供高性能 RC 寄生参数提取解决方案,且精度满足签核流程要求。在 SoC 设计应用方面,可支持超大规模数亿门级集成电路门极寄生网表提取,并与主流的后端设计平台完美融合,同时无缝链接行芯的其他各类签核工具,提供一站式签核解决方案。在模拟设计应用方面,GloryEX 支持第三方工具提供的LVS数据库作为输入,并可结合 GloryEX3D 计算引擎,对先进工艺的晶体管结构进行精确建模,满足高精度晶体管级寄生网表提取,并与第三方平台工具兼容,满足用户易用性需求。 GloryEX 针对不同的工艺节点,提供多种工艺效应的建模,包括 OPC(光学邻近效应)、CMP(化学机械平坦化)效应、Low-k Damage 效应、双重/多重曝光效应等,其精度得到晶圆厂认证,并已在客户设计应用上通过流片验证。
产品亮点
  • 自适应区域切分(Automated Partitioning)并行计算,提升超大规模寄生提取效能。

  • 支持多角并行提取(Multi-Corner Parallel Extraction,MCPE)功能,可实现全芯片规模的寄生参数快速提取,节省约 35% 以上的时间。

  • 支持虚拟金属冗余填补(Virtual Metal Fill,VMF)功能,用户无需完成冗余填补,便可在寄生提取阶段考虑冗余填补对寄生电容与时序的影响,大幅缩短用户迭代优化的时间。

  • 与行芯 GloryPolaris、GloryEX3D 场求解器深度融合,支持晶圆厂工艺设计套件(PDK)精度验证闭环,实现从硅数据(Silicon Data)器件级寄生参数建模 / 金属互联建模基础 IP 特征化全芯片寄生参数提取等各个设计应用场景的数据可靠性。

  • 高效融合行芯签核平台中的 GloryBolt、 PhyBolt 等工具,提供一站式签核解决方案。

图示

中文官网产品图-CN0624_画板 1.png

中文官网产品图-CN0624_画板 1 副本.png

©2024杭州行芯科技有限公司版权所有 浙ICP备19047930号-2 浙公网安备 33010802011331号