EN
产品概述
行芯专注于SOC、ASIC、Memory、Custom、AMS 等芯片物理设计的Signoff领域,包括电源完整性、信号完整性、 寄生参数提取、功耗、可靠性、静态时序分析、衬底噪声、片上 多物理域分析、先进工艺设计优化等挑战,深入拓展软件算法和芯片设计独特能力,不断丰富产品线,持续引领后摩尔时代EDA行业发展。
  • GloryEX

    芯片级 RC 寄生参数提取工具

    GloryEX是一款芯片级RC寄生参数提取工具,可为数字芯片、模拟芯片、SoC等多种设计应用场景提供高性能RC寄生参数提取解决方案,且精度满足签核流程要求。在 SoC设计应用方面,可支持超大规模数亿门级集成电路Gate-level寄生网表提取,并与主流的后端设计平台完美融合,同时无缝链接行芯的其他各类签核工具(EM/IR, Timing,Power等),提供一站式签核解决方案。在模拟设计应用方面,GloryEX支持第三方工具提供的LVS数据库作为输入,并可结合GloryEX3D计算引擎,对先进工艺的晶体管结构进行精确建模,满足高精度Transistor-level寄生网表提取,并与第三方平台工具兼容,满足用户易用性需求。 GloryEX 针对不同的工艺节点,提供多种工艺效应的建模,包括OPC(光学邻近效应)、CMP(化学机械平坦化)效应、Low-k damage效应、双重/多重曝光效应等,其精度得到晶圆厂认证,并已在客户设计应用上通过流片验证。
    了解更多
  • GloryEX3D

    器件级高效寄生参数场求解器

    GloryEX3D是基于随机漫步法(Random Walk),求得Maxwell偏微分方程的近似解。该求解器易于计算复杂结构,且适合发展并行计算,可用于标准单元和IP的寄生电容电阻求解,计算芯片中关键路径的寄生效应。 GloryEX3D可保证各关键节点和各关键参数的精确度要求,支持先进工艺和成熟(Plannar MOS)工艺。GloryEX3D可快速处理计算,拥有行业领先的求解效率和并行计算容量,其计算精度满足晶圆厂寄生参数模型建立需求,通过对器件层面(Fin数量、M0(本地互联))等建模,表征P-cells和Template Cells的M1、V0特性。可应用于中小尺寸版图的高精度提取,或是芯片中关键路径的高精度求解,并达到签核(signoff)精度要求。
    了解更多
  • GloryPolaris

    高精度 3D 寄生参数建模工具

    GlroyPolaris基于有限差分法(Finite Difference Method),求解Maxwell偏微分方程,适用于小尺度、高精度计算,如金属互连模型(interconnect model)中的通用结构等。因其确定性算法,该求解器计算出的结果可作为最高精确度的Golden标准。 GloryPolaris提供先进工艺和成熟工艺(Plannar MOS)的最高精度寄生参数提取。以硅数据(Silicon Data),Pattern,Rule,Profile等Foundry TD端输入数据进行3D建模,支持融合BEOL,CMP,etch-loading,TSV modeling等工艺效应模块。 GloryPolaris可用于器件级寄生电容的精确求解及金属互连模型(interconnect model)的建立。
    了解更多
  • GloryBolt

    全芯片电源/信号线可靠性签核工具

    GloryBolt 是一款高精度,高性能,达到行业Golden标准和硅验证精度的电压降(IR Drop)和电迁移(EM-Electromigration)分析工具。支持全芯片电源和信号线的可靠性分析,支持上亿(100s Million)规模单元的超大规模设计,提供全芯片签核精度的功耗、电流密度、压降、电迁移、可靠性等分析。 GloryBolt 以良好的易用性,符合用户使用习惯的操作流程,帮助用户快速获得签核验证的分析数据。结合可视化界面的诊断结果,指导用户精准定位设计缺陷,综合评估芯片质量,提高设计迭代效率。 GloryBolt的Signoff精度得到各晶圆厂的广泛认证,可提供针对Static IR, Dynamic IR,PG EM,Signal EM, Grid Check的完整解决方案。基于独特的弹性计算架构,GloyBolt可提供超大容量来应对超大规模IC设计挑战。
    了解更多
  • PhyBolt

    多物理域耦合分析工具

    PhyBolt是一款集功耗仿真与热仿真于一体的多物理域耦合分析工具。 在功耗分析方面,PhyBolt集成了签核级的功耗分析引擎,在读入设计网表,标准单元库,寄生参数,向量波形等输入后,可以准确计算Design在给定场景下的平均及峰值功耗,协助用户定位功耗热点,修复功耗bug。同时,生成的功耗结果也可以为热仿真提供输入。在热分析方面,PhyBolt内嵌了专门针对芯片热分析而设计的网格切分引擎与高性能求解器,在读入封装结构,边界条件,芯片版图,以及材料属性后,能够精准地模拟各种封装结构中的片上热传导行为。 除此之外,PhyBolt独特的功耗建模技术,可以将功耗计算的中间结果抽象成数学模型,支持根据片上温度,电压与频率调整功耗计算,极大地提升集成仿真的速度。
    了解更多
  • GloryWatt

    全芯片功耗签核工具

    GloryWatt提供签核级的功耗分析引擎,在读入设计网表,标准单元库,寄生参数,向量波形等输入后,可以准确计算Design在给定场景下的平均及峰值功耗,协助用户验证设计功耗,定位功耗热点,修复功耗bug。
    了解更多
免费试用
立即提交
©2024杭州行芯科技有限公司版权所有 浙ICP备19047930号-2 浙公网安备 33010802011331号