EN
GloryBolt

功耗/EM/IR/可靠性Signoff平台

简介
GloryBolt是集功耗分析、RC寄生参数提取、静态/动态电压仿真等功能于一体的EMIR分析工具,支持全芯片电源线/信号线的可靠性分析。 GloryBolt强大的分析引擎支持上亿规模单元的大规模设计,准确提供芯片签核精度的功耗、电流密度、压降、电迁移、可靠性等分析结果。贴近用户使用习惯,帮助工程师快速获得签核验证所需的分析数据,结合可视化界面的诊断结果,指导用户精准定位设计缺陷,综合评估芯片质量,提高设计迭代效率。
优势
  • 静态与动态功耗分析

  • 电源/地网络电压降分析(Static/Dynamic IR)

  • 电迁移分析(Static/Dynamic EM)

  • 芯片功耗模型建模(Die Model)

  • 数据完整性检查

  • 可视化界面帮助快速定位设计缺陷

  • 高性能GridCheck

  • 支持先进工艺与Self-Heating模型

  • Rush Current/Power Up分析

  • ESD静电保护检查

  • 支持低功耗设计

  • 芯片-封装协同分析

  • 支持上亿规模单元的大规模设计

  • 分布式资源调度与快速计算

图示

网站配图-08.png


未标题-1-05.png




©2024杭州行芯科技有限公司版权所有 浙ICP备19047930号-2 浙公网安备 33010802011331号