EN
产品概述
行芯专注于SOC、ASIC、Memory、Custom、AMS 等芯片物理设计的Signoff领域,包括电源完整性、信号完整性、 寄生参数提取、功耗、可靠性、静态时序分析、衬底噪声、片上 多物理域分析、先进工艺设计优化等挑战,深入拓展软件算法和芯片设计独特能力,不断丰富产品线,持续引领后摩尔时代EDA行业发展。
  • GloryEX

    全芯片RC寄生参数提取工具

    GloryEX为芯片设计提供Signoff精度的高性能RC寄生参数提取解决方案。支持先进工艺节点的物理效应建模,面向先进工艺的超高精度3D求解器,支持先进节点及其他复杂特殊结构。首创人工智能辅助的交互式建模技术,大幅降低先进工艺建模难度,无缝融合3D和2.5D工艺定义和提取,提供Transistor-Level和Gate-Level一站式参数提取,支持不同精度选择和不同设计用户的签核需求。 GloryEX内置的3D场求解器可作为最高精度的参考工具或提供给用户最准确的计算结果,具有自主先进的Tech File,并兼容现有常用Tech File。
    了解更多
  • GloryBolt

    功耗/EM/IR/可靠性Signoff平台

    GloryBolt是集功耗分析、RC寄生参数提取、静态/动态电压仿真等功能于一体的EMIR分析工具,支持全芯片电源线/信号线的可靠性分析。 GloryBolt强大的分析引擎支持上亿规模单元的大规模设计,准确提供芯片签核精度的功耗、电流密度、压降、电迁移、可靠性等分析结果。贴近用户使用习惯,帮助工程师快速获得签核验证所需的分析数据,结合可视化界面的诊断结果,指导用户精准定位设计缺陷,综合评估芯片质量,提高设计迭代效率。
    了解更多
  • PhyBolt

    多物理域耦合分析平台

    PhyBolt是一款集功耗仿真与热仿真于一体的多物理域耦合分析工具。 在功耗分析方面,PhyBolt集成了签核级的功耗分析引擎,在读入设计网表,标准单元库,寄生参数,向量波形等输入后,可以准确计算Design在给定场景下的平均及峰值功耗,协助用户定位功耗热点,修复功耗bug。同时,生成的功耗结果也可以为热仿真提供输入。 在热分析方面,PhyBolt内嵌了专门针对芯片热分析而设计的网格切分引擎与高性能求解器,在读入封装结构,边界条件,芯片版图,以及材料属性后,能够精准地模拟各种封装结构中的片上热传导行为。 除此之外,PhyBolt独特的功耗建模技术,可以将功耗计算的中间结果抽象成数学模型,支持根据片上温度,电压与频率调整功耗计算,极大地提升集成仿真的速度。
    了解更多
联系我们
立即提交
©2024杭州行芯科技有限公司版权所有 浙ICP备19047930号-2 浙公网安备 33010802011331号