EN
GloryEX

全芯片RC寄生参数提取工具

简介
GloryEX为芯片设计提供Signoff精度的高性能RC寄生参数提取解决方案。支持先进工艺节点的物理效应建模,面向先进工艺的超高精度3D求解器,支持先进节点及其他复杂特殊结构。首创人工智能辅助的交互式建模技术,大幅降低先进工艺建模难度,无缝融合3D和2.5D工艺定义和提取,提供Transistor-Level和Gate-Level一站式参数提取,支持不同精度选择和不同设计用户的签核需求。 GloryEX内置的3D场求解器可作为最高精度的参考工具或提供给用户最准确的计算结果,具有自主先进的Tech File,并兼容现有常用Tech File。
优势
  • 支持SoC、ASIC、Memory、Custom、AMS等设计

  • 最高精度模式的3D提取、快速高精度的2.5D提取

  • 支持先进工艺下BEOL、MEOL和FEOL的高精度提取

  • 支持对复杂几何图形和工艺效应的工艺建模,支持更先进工艺器件结构和寄生参数建模开发

  • 可供晶圆代工厂基于各种特殊结构进行高精度的3D建模

  • 三维立体器件结构显示界面,供开发人员查看建模工艺数据以及立体结构,精确表征导体和电介质参数

  • 多核并行处理、分区域划分、层次化提取等技术,支持大型设计全芯片规模参数快速提取

  • 支持大规模、高性能的Transistor-Level和Gate-Level提取

  • 支持关键路径3D高精度电容求解和IP库高精度特征化,支持网表压缩,缩短后仿真时间


图示

未标题-1-07.png

未标题-1-02.png





©2024杭州行芯科技有限公司版权所有 浙ICP备19047930号-2 浙公网安备 33010802011331号